Welcome to Sublime System Verilog

Sublime System Verilog is a plugin for SublimeText 2&3 providing not only highlighting for verilog and sytemVerilog files but also many features to write and navigate in your code.

Note that advanced features, like completion or tooltips, are not available if no project is defined. Also, support for ST2 is minimal with basic highlighting only.

Source code is available on GitHub.

For any feedback (bug report or feature request) please use the issue tracker.


Installation

Simply use Package Control. From Package control: install Package->SystemVerilog


Table of Contents


Note

If you use internet explorer you might need the WebM plugin to display video of examples.